This article is part of the series Design and Architectures for Signal and Image Processing 2009.

Open Access Research Article

Evaluation and Design Space Exploration of a Time-Division Multiplexed NoC on FPGA for Image Analysis Applications

Linlin Zhang123*, Virginie Fresse123, Mohammed Khalid4, Dominique Houzet5 and Anne-Claire Legrand123

Author Affiliations

1 Université de Lyon, 42023 Saint-Etienne, France

2 CNRS, UMR 5516, Laboratoire Hubert Curien, 42000 Saint-Etienne, France

3 Université de Saint-Etienne, Jean-Monnet, 42000 Saint-Etienne, France

4 RCIM, Department of Electrical & Computer Engineering, University of Windsor, Windsor, ON, Canada, N9B 3P4

5 GIPSA-Lab, Grenoble, France

For all author emails, please log on.

EURASIP Journal on Embedded Systems 2009, 2009:542035  doi:10.1155/2009/542035


The electronic version of this article is the complete one and can be found online at: http://jes.eurasipjournals.com/content/2009/1/542035


Received: 1 March 2009
Revisions received: 17 July 2009
Accepted: 17 November 2009
Published: 29 December 2009

© 2009 The Author(s).

This is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

Abstract

The aim of this paper is to present an adaptable Fat Tree NoC architecture for Field Programmable Gate Array (FPGA) designed for image analysis applications. Traditional Network on Chip (NoC) is not optimal for dataflow applications with large amount of data. On the opposite, point-to-point communications are designed from the algorithm requirements but they are expensives in terms of resource and wire. We propose a dedicated communication architecture for image analysis algorithms. This communication mechanism is a generic NoC infrastructure dedicated to dataflow image processing applications, mixing circuit-switching and packet-switching communications. The complete architecture integrates two dedicated communication architectures and reusable IP blocks. Communications are based on the NoC concept to support the high bandwidth required for a large number and type of data. For data communication inside the architecture, an efficient time-division multiplexed (TDM) architecture is proposed. This NoC uses a Fat Tree (FT) topology with Virtual Channels (VCs) and flit packet-switching with fixed routes. Two versions of the NoC are presented in this paper. The results of their implementations and their Design Space Exploration (DSE) on Altera Stratix II are analyzed and compared with a point-to-point communication and illustrated with a multispectral image application. Results show that a point-to-point communication scheme is not efficient for large amount of multispectral image data communications. An NoC architecture uses only 10% of the memory blocks required for a point-to-point architecture but seven times more logic elements. This resource allocation is more adapted to image analysis algorithms as memory elements are a critical point in embedded architectures. An FT NoC-based communication scheme for data transfers provides a more appropriate solution for resource allocation.

Publisher note

To access the full article, please see PDF.